Changed from HEI_READ_ONLY to HEI_ENABLE_HW_WRITE

Changed conditional flag from from HEI_READ_ONLY to HEI_ENABLE_HW_WRITE

Signed-off-by: Ben Tyner <ben.tyner@ibm.com>
Change-Id: Id93d33e35d1026822a2081f5e10f5b6488aad9c3
diff --git a/src/register/hei_hardware_register.hpp b/src/register/hei_hardware_register.hpp
index 2a4dcfe..40e0f82 100644
--- a/src/register/hei_hardware_register.hpp
+++ b/src/register/hei_hardware_register.hpp
@@ -157,7 +157,7 @@
      */
     bool read(const Chip& i_chip, bool i_force = false) const;
 
-#ifndef __HEI_READ_ONLY
+#ifdef __HEI_ENABLE_HW_WRITE
 
     /**
      * @brief  Writes the value stored in the register cache to hardware via the
@@ -167,7 +167,7 @@
      */
     bool write(const Chip& i_chip) const;
 
-#endif // __HEI_READ_ONLY
+#endif // __HEI_ENABLE_HW_WRITE
 
   protected:
     /**