Changed from HEI_READ_ONLY to HEI_ENABLE_HW_WRITE

Changed conditional flag from from HEI_READ_ONLY to HEI_ENABLE_HW_WRITE

Signed-off-by: Ben Tyner <ben.tyner@ibm.com>
Change-Id: Id93d33e35d1026822a2081f5e10f5b6488aad9c3
diff --git a/test/simulator/sim_hardware_access.cpp b/test/simulator/sim_hardware_access.cpp
index 25cc8bd..e8c45ad 100644
--- a/test/simulator/sim_hardware_access.cpp
+++ b/test/simulator/sim_hardware_access.cpp
@@ -60,7 +60,7 @@
 
 //------------------------------------------------------------------------------
 
-#ifndef __HEI_READ_ONLY
+#ifdef __HEI_ENABLE_HW_WRITE
 
 bool registerWrite(const Chip& i_chip, void* i_buffer, size_t& io_bufSize,
                    uint64_t i_regType, uint64_t i_address)
@@ -83,7 +83,7 @@
     return accessFailure;
 }
 
-#endif
+#endif // __HEI_ENABLE_HW_WRITE
 
 //------------------------------------------------------------------------------