blob: 2dd41d61425cbcf568c5edd3cd0930a650d67589 [file] [log] [blame]
Joseph Fu0de48562022-01-26 14:37:42 +08001OF_NAME=mb_fru
2SYSFS_PATH=/sys/bus/i2c/devices/3-0051/eeprom
3FRUID=1