blob: 0b08210b07ce0a026ae286189b7fc13d5dd9fe27 [file] [log] [blame]
Patrick Williamsb48b7b42016-08-17 15:04:38 -05001# Copyright (C) 2014, O.S. Systems Software Ltda. All Rights Reserved
2# Released under the MIT license (see meta-openembedded layer's COPYING.MIT)
3
4TESSERACT_LANG = "slv"
5
6require tesseract-lang.inc
7
8SRC_URI[md5sum] = "c7bea975ba663d13e03c29d6cf33b5a8"
9SRC_URI[sha256sum] = "b901507d2901c882f98f6ef5db07dbf74636fba6a1f616c5f094954106791667"